otsdaq_prepmodernization  v2_05_02_indev
fifo_adc_synth.vhd
1 --------------------------------------------------------------------------------
2 --
3 -- FIFO Generator Core Demo Testbench
4 --
5 --------------------------------------------------------------------------------
6 --
7 -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
8 --
9 -- This file contains confidential and proprietary information
10 -- of Xilinx, Inc. and is protected under U.S. and
11 -- international copyright and other intellectual property
12 -- laws.
13 --
14 -- DISCLAIMER
15 -- This disclaimer is not a license and does not grant any
16 -- rights to the materials distributed herewith. Except as
17 -- otherwise provided in a valid license issued to you by
18 -- Xilinx, and to the maximum extent permitted by applicable
19 -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
20 -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
21 -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
22 -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
23 -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
24 -- (2) Xilinx shall not be liable (whether in contract or tort,
25 -- including negligence, or under any other theory of
26 -- liability) for any loss or damage of any kind or nature
27 -- related to, arising under or in connection with these
28 -- materials, including for any direct, or any indirect,
29 -- special, incidental, or consequential loss or damage
30 -- (including loss of data, profits, goodwill, or any type of
31 -- loss or damage suffered as a result of any action brought
32 -- by a third party) even if such damage or loss was
33 -- reasonably foreseeable or Xilinx had been advised of the
34 -- possibility of the same.
35 --
36 -- CRITICAL APPLICATIONS
37 -- Xilinx products are not designed or intended to be fail-
38 -- safe, or for use in any application requiring fail-safe
39 -- performance, such as life-support or safety devices or
40 -- systems, Class III medical devices, nuclear facilities,
41 -- applications related to the deployment of airbags, or any
42 -- other applications that could lead to death, personal
43 -- injury, or severe property or environmental damage
44 -- (individually and collectively, "Critical
45 -- Applications"). Customer assumes the sole risk and
46 -- liability of any use of Xilinx products in Critical
47 -- Applications, subject only to applicable laws and
48 -- regulations governing limitations on product liability.
49 --
50 -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
51 -- PART OF THIS FILE AT ALL TIMES.
52 --------------------------------------------------------------------------------
53 --
54 -- Filename: fifo_adc_synth.vhd
55 --
56 -- Description:
57 -- This is the demo testbench for fifo_generator core.
58 --
59 --------------------------------------------------------------------------------
60 -- Library Declarations
61 --------------------------------------------------------------------------------
62 
63 
64 LIBRARY ieee;
65 USE ieee.STD_LOGIC_1164.ALL;
66 USE ieee.STD_LOGIC_unsigned.ALL;
67 USE IEEE.STD_LOGIC_arith.ALL;
68 USE ieee.numeric_std.ALL;
69 USE ieee.STD_LOGIC_misc.ALL;
70 
71 LIBRARY std;
72 USE std.textio.ALL;
73 
74 LIBRARY work;
75 USE work.fifo_adc_pkg.ALL;
76 
77 --------------------------------------------------------------------------------
78 -- Entity Declaration
79 --------------------------------------------------------------------------------
80 ENTITY fifo_adc_synth IS
81  GENERIC(
82  FREEZEON_ERROR : INTEGER := 0;
83  TB_STOP_CNT : INTEGER := 0;
84  TB_SEED : INTEGER := 1
85  );
86  PORT(
87  WR_CLK : IN STD_LOGIC;
88  RD_CLK : IN STD_LOGIC;
89  RESET : IN STD_LOGIC;
90  SIM_DONE : OUT STD_LOGIC;
91  STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
92  );
93 END ENTITY;
94 
95 ARCHITECTURE simulation_arch OF fifo_adc_synth IS
96 
97  -- FIFO interface signal declarations
98  SIGNAL wr_clk_i : STD_LOGIC;
99  SIGNAL rd_clk_i : STD_LOGIC;
100  SIGNAL wr_data_count : STD_LOGIC_VECTOR(10-1 DOWNTO 0);
101  SIGNAL rd_data_count : STD_LOGIC_VECTOR(8-1 DOWNTO 0);
102  SIGNAL rst : STD_LOGIC;
103  SIGNAL overflow : STD_LOGIC;
104  SIGNAL wr_en : STD_LOGIC;
105  SIGNAL rd_en : STD_LOGIC;
106  SIGNAL din : STD_LOGIC_VECTOR(16-1 DOWNTO 0);
107  SIGNAL dout : STD_LOGIC_VECTOR(64-1 DOWNTO 0);
108  SIGNAL full : STD_LOGIC;
109  SIGNAL empty : STD_LOGIC;
110  -- TB Signals
111  SIGNAL wr_data : STD_LOGIC_VECTOR(16-1 DOWNTO 0);
112  SIGNAL dout_i : STD_LOGIC_VECTOR(64-1 DOWNTO 0);
113  SIGNAL wr_en_i : STD_LOGIC := '0';
114  SIGNAL rd_en_i : STD_LOGIC := '0';
115  SIGNAL full_i : STD_LOGIC := '0';
116  SIGNAL empty_i : STD_LOGIC := '0';
117  SIGNAL almost_full_i : STD_LOGIC := '0';
118  SIGNAL almost_empty_i : STD_LOGIC := '0';
119  SIGNAL prc_we_i : STD_LOGIC := '0';
120  SIGNAL prc_re_i : STD_LOGIC := '0';
121  SIGNAL dout_chk_i : STD_LOGIC := '0';
122  SIGNAL rst_int_rd : STD_LOGIC := '0';
123  SIGNAL rst_int_wr : STD_LOGIC := '0';
124  SIGNAL rst_s_wr1 : STD_LOGIC := '0';
125  SIGNAL rst_s_wr2 : STD_LOGIC := '0';
126  SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
127  SIGNAL rst_s_wr3 : STD_LOGIC := '0';
128  SIGNAL rst_s_rd : STD_LOGIC := '0';
129  SIGNAL reset_en : STD_LOGIC := '0';
130  SIGNAL rst_async_wr1 : STD_LOGIC := '0';
131  SIGNAL rst_async_wr2 : STD_LOGIC := '0';
132  SIGNAL rst_async_wr3 : STD_LOGIC := '0';
133  SIGNAL rst_async_rd1 : STD_LOGIC := '0';
134  SIGNAL rst_async_rd2 : STD_LOGIC := '0';
135  SIGNAL rst_async_rd3 : STD_LOGIC := '0';
136 
137 
138  BEGIN
139 
140  ---- Reset generation logic -----
141  rst_int_wr <= rst_async_wr3 OR rst_s_wr3;
142  rst_int_rd <= rst_async_rd3 OR rst_s_rd;
143 
144  --Testbench reset synchronization
145  PROCESS(rd_clk_i,RESET)
146  BEGIN
147  IF(RESET = '1') THEN
148  rst_async_rd1 <= '1';
149  rst_async_rd2 <= '1';
150  rst_async_rd3 <= '1';
151  ELSIF(rd_clk_i'event AND rd_clk_i='1') THEN
152  rst_async_rd1 <= RESET;
153  rst_async_rd2 <= rst_async_rd1;
154  rst_async_rd3 <= rst_async_rd2;
155  END IF;
156  END PROCESS;
157 
158  PROCESS(wr_clk_i,RESET)
159  BEGIN
160  IF(RESET = '1') THEN
161  rst_async_wr1 <= '1';
162  rst_async_wr2 <= '1';
163  rst_async_wr3 <= '1';
164  ELSIF(wr_clk_i'event AND wr_clk_i='1') THEN
165  rst_async_wr1 <= RESET;
166  rst_async_wr2 <= rst_async_wr1;
167  rst_async_wr3 <= rst_async_wr2;
168  END IF;
169  END PROCESS;
170 
171  --Soft reset for core and testbench
172  PROCESS(rd_clk_i)
173  BEGIN
174  IF(rd_clk_i'event AND rd_clk_i='1') THEN
175  rst_gen_rd <= rst_gen_rd + "1";
176  IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN
177  rst_s_rd <= '1';
178  assert false
179  report "Reset applied..Memory Collision checks are not valid"
180  severity note;
181  ELSE
182  IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN
183  rst_s_rd <= '0';
184  END IF;
185  END IF;
186  END IF;
187  END PROCESS;
188 
189  PROCESS(wr_clk_i)
190  BEGIN
191  IF(wr_clk_i'event AND wr_clk_i='1') THEN
192  rst_s_wr1 <= rst_s_rd;
193  rst_s_wr2 <= rst_s_wr1;
194  rst_s_wr3 <= rst_s_wr2;
195  IF(rst_s_wr3 = '1' AND rst_s_wr2 = '0') THEN
196  assert false
197  report "Reset removed..Memory Collision checks are valid"
198  severity note;
199  END IF;
200  END IF;
201  END PROCESS;
202  ------------------
203 
204  ---- Clock buffers for testbench ----
205  wr_clk_i <= WR_CLK;
206  rd_clk_i <= RD_CLK;
207  ------------------
208 
209  rst <= RESET OR rst_s_rd AFTER 12 ns;
210  din <= wr_data;
211  dout_i <= dout;
212  wr_en <= wr_en_i;
213  rd_en <= rd_en_i;
214  full_i <= full;
215  empty_i <= empty;
216 
217  fg_dg_nv: fifo_adc_dgen
218  GENERIC MAP (
219  C_DIN_WIDTH => 16,
220  C_DOUT_WIDTH => 64,
221  TB_SEED => TB_SEED ,
222  C_CH_TYPE => 0
223  )
224  PORT MAP ( -- Write Port
225  RESET => rst_int_wr,
226  WR_CLK => wr_clk_i,
227  PRC_WR_EN => prc_we_i,
228  FULL => full_i,
229  WR_EN => wr_en_i,
230  WR_DATA => wr_data
231  );
232 
233  fg_dv_nv: fifo_adc_dverif
234  GENERIC MAP (
235  C_DOUT_WIDTH => 64,
236  C_DIN_WIDTH => 16,
237  C_USE_EMBEDDED_REG => 0,
238  TB_SEED => TB_SEED,
239  C_CH_TYPE => 0
240  )
241  PORT MAP(
242  RESET => rst_int_rd,
243  RD_CLK => rd_clk_i,
244  PRC_RD_EN => prc_re_i,
245  RD_EN => rd_en_i,
246  EMPTY => empty_i,
247  DATA_OUT => dout_i,
248  DOUT_CHK => dout_chk_i
249  );
250 
251  fg_pc_nv: fifo_adc_pctrl
252  GENERIC MAP (
253  AXI_CHANNEL => "Native",
254  C_APPLICATION_TYPE => 0,
255  C_DOUT_WIDTH => 64,
256  C_DIN_WIDTH => 16,
257  C_WR_PNTR_WIDTH => 10,
258  C_RD_PNTR_WIDTH => 8,
259  C_CH_TYPE => 0,
260  FREEZEON_ERROR => FREEZEON_ERROR ,
261  TB_SEED => TB_SEED,
262  TB_STOP_CNT => TB_STOP_CNT
263  )
264  PORT MAP(
265  RESET_WR => rst_int_wr,
266  RESET_RD => rst_int_rd,
267  RESET_EN => reset_en,
268  WR_CLK => wr_clk_i,
269  RD_CLK => rd_clk_i,
270  PRC_WR_EN => prc_we_i,
271  PRC_RD_EN => prc_re_i,
272  FULL => full_i,
273  ALMOST_FULL => almost_full_i ,
274  ALMOST_EMPTY => almost_empty_i ,
275  DOUT_CHK => dout_chk_i,
276  EMPTY => empty_i,
277  DATA_IN => wr_data,
278  DATA_OUT => dout,
279  SIM_DONE => SIM_DONE,
280  STATUS => STATUS
281  );
282 
283 
284 
285 
286 
287  fifo_adc_inst : fifo_adc_exdes
288  PORT MAP (
289  WR_CLK => wr_clk_i,
290  RD_CLK => rd_clk_i,
291  WR_DATA_COUNT => wr_data_count,
292  RD_DATA_COUNT => rd_data_count,
293  RST => rst,
294  OVERFLOW => overflow,
295  WR_EN => wr_en,
296  RD_EN => rd_en,
297  DIN => din,
298  DOUT => dout,
299  FULL => full,
300  EMPTY => empty);
301 
302 END ARCHITECTURE;