otsdaq_prepmodernization  v2_05_02_indev
Ethernet_RAM_prod_exdes.vhd
1 
2 
3 --------------------------------------------------------------------------------
4 --
5 -- Distributed Memory Generator v6.3 Core - Top-level core wrapper
6 --
7 --------------------------------------------------------------------------------
8 --
9 -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
10 --
11 -- This file contains confidential and proprietary information
12 -- of Xilinx, Inc. and is protected under U.S. and
13 -- international copyright and other intellectual property
14 -- laws.
15 --
16 -- DISCLAIMER
17 -- This disclaimer is not a license and does not grant any
18 -- rights to the materials distributed herewith. Except as
19 -- otherwise provided in a valid license issued to you by
20 -- Xilinx, and to the maximum extent permitted by applicable
21 -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
22 -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
23 -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
24 -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
25 -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
26 -- (2) Xilinx shall not be liable (whether in contract or tort,
27 -- including negligence, or under any other theory of
28 -- liability) for any loss or damage of any kind or nature
29 -- related to, arising under or in connection with these
30 -- materials, including for any direct, or any indirect,
31 -- special, incidental, or consequential loss or damage
32 -- (including loss of data, profits, goodwill, or any type of
33 -- loss or damage suffered as a result of any action brought
34 -- by a third party) even if such damage or loss was
35 -- reasonably foreseeable or Xilinx had been advised of the
36 -- possibility of the same.
37 --
38 -- CRITICAL APPLICATIONS
39 -- Xilinx products are not designed or intended to be fail-
40 -- safe, or for use in any application requiring fail-safe
41 -- performance, such as life-support or safety devices or
42 -- systems, Class III medical devices, nuclear facilities,
43 -- applications related to the deployment of airbags, or any
44 -- other applications that could lead to death, personal
45 -- injury, or severe property or environmental damage
46 -- (individually and collectively, "Critical
47 -- Applications"). Customer assumes the sole risk and
48 -- liability of any use of Xilinx products in Critical
49 -- Applications, subject only to applicable laws and
50 -- regulations governing limitations on product liability.
51 --
52 -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
53 -- PART OF THIS FILE AT ALL TIMES.
54 --
55 --------------------------------------------------------------------------------
56 --
57 --
58 -- Description:
59 -- This is the actual DMG core wrapper.
60 --
61 --------------------------------------------------------------------------------
62 -- Library Declarations
63 --------------------------------------------------------------------------------
64 
65 library ieee;
66 use ieee.std_logic_1164.all;
67 use ieee.std_logic_arith.all;
68 use ieee.std_logic_unsigned.all;
69 
70 library unisim;
71 use unisim.vcomponents.all;
72 
73 --------------------------------------------------------------------------------
74 -- Entity Declaration
75 --------------------------------------------------------------------------------
76 entity Ethernet_RAM_exdes is
77  PORT (
78  A : IN STD_LOGIC_VECTOR(11-1-(4*0*boolean'pos(11>4)) downto 0)
79  := (OTHERS => '0');
80  D : IN STD_LOGIC_VECTOR(64-1 downto 0) := (OTHERS => '0');
81  DPRA : IN STD_LOGIC_VECTOR(11-1 downto 0) := (OTHERS => '0');
82  SPRA : IN STD_LOGIC_VECTOR(11-1 downto 0) := (OTHERS => '0');
83  CLK : IN STD_LOGIC := '0';
84  WE : IN STD_LOGIC := '0';
85  I_CE : IN STD_LOGIC := '1';
86  QSPO_CE : IN STD_LOGIC := '1';
87  QDPO_CE : IN STD_LOGIC := '1';
88  QDPO_CLK : IN STD_LOGIC := '0';
89  QSPO_RST : IN STD_LOGIC := '0';
90  QDPO_RST : IN STD_LOGIC := '0';
91  QSPO_SRST : IN STD_LOGIC := '0';
92  QDPO_SRST : IN STD_LOGIC := '0';
93  SPO : OUT STD_LOGIC_VECTOR(64-1 downto 0);
94  DPO : OUT STD_LOGIC_VECTOR(64-1 downto 0);
95  QSPO : OUT STD_LOGIC_VECTOR(64-1 downto 0);
96  QDPO : OUT STD_LOGIC_VECTOR(64-1 downto 0)
97  );
98 
99 end Ethernet_RAM_exdes;
100 
101 
102 
103 architecture xilinx of Ethernet_RAM_exdes is
104 
105  SIGNAL CLK_i : std_logic;
106 
107  component Ethernet_RAM is
108  PORT (
109 
110  CLK : IN STD_LOGIC;
111  WE : IN STD_LOGIC;
112  SPO : OUT STD_LOGIC_VECTOR(64-1 downto 0);
113  A : IN STD_LOGIC_VECTOR(11-1-(4*0*boolean'pos(11>4)) downto 0)
114  := (OTHERS => '0');
115  D : IN STD_LOGIC_VECTOR(64-1 downto 0) := (OTHERS => '0')
116 
117 );
118  end component;
119 
120 
121 begin
122 
123  dmg0 : Ethernet_RAM
124  port map (
125 
126  CLK => CLK_i,
127  WE => WE,
128  SPO => SPO,
129  A => A,
130  D => D
131 
132  );
133 
134 clk_buf: bufg
135  PORT map(
136  i => CLK,
137  o => CLK_i
138  );
139 
140 
141 
142 end xilinx;