otsdaq_prepmodernization  v2_05_02_indev
ADDR_FIFO_synth.vhd
1 --------------------------------------------------------------------------------
2 --
3 -- FIFO Generator Core Demo Testbench
4 --
5 --------------------------------------------------------------------------------
6 --
7 -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
8 --
9 -- This file contains confidential and proprietary information
10 -- of Xilinx, Inc. and is protected under U.S. and
11 -- international copyright and other intellectual property
12 -- laws.
13 --
14 -- DISCLAIMER
15 -- This disclaimer is not a license and does not grant any
16 -- rights to the materials distributed herewith. Except as
17 -- otherwise provided in a valid license issued to you by
18 -- Xilinx, and to the maximum extent permitted by applicable
19 -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
20 -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
21 -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
22 -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
23 -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
24 -- (2) Xilinx shall not be liable (whether in contract or tort,
25 -- including negligence, or under any other theory of
26 -- liability) for any loss or damage of any kind or nature
27 -- related to, arising under or in connection with these
28 -- materials, including for any direct, or any indirect,
29 -- special, incidental, or consequential loss or damage
30 -- (including loss of data, profits, goodwill, or any type of
31 -- loss or damage suffered as a result of any action brought
32 -- by a third party) even if such damage or loss was
33 -- reasonably foreseeable or Xilinx had been advised of the
34 -- possibility of the same.
35 --
36 -- CRITICAL APPLICATIONS
37 -- Xilinx products are not designed or intended to be fail-
38 -- safe, or for use in any application requiring fail-safe
39 -- performance, such as life-support or safety devices or
40 -- systems, Class III medical devices, nuclear facilities,
41 -- applications related to the deployment of airbags, or any
42 -- other applications that could lead to death, personal
43 -- injury, or severe property or environmental damage
44 -- (individually and collectively, "Critical
45 -- Applications"). Customer assumes the sole risk and
46 -- liability of any use of Xilinx products in Critical
47 -- Applications, subject only to applicable laws and
48 -- regulations governing limitations on product liability.
49 --
50 -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
51 -- PART OF THIS FILE AT ALL TIMES.
52 --------------------------------------------------------------------------------
53 --
54 -- Filename: ADDR_FIFO_synth.vhd
55 --
56 -- Description:
57 -- This is the demo testbench for fifo_generator core.
58 --
59 --------------------------------------------------------------------------------
60 -- Library Declarations
61 --------------------------------------------------------------------------------
62 
63 
64 LIBRARY ieee;
65 USE ieee.STD_LOGIC_1164.ALL;
66 USE ieee.STD_LOGIC_unsigned.ALL;
67 USE IEEE.STD_LOGIC_arith.ALL;
68 USE ieee.numeric_std.ALL;
69 USE ieee.STD_LOGIC_misc.ALL;
70 
71 LIBRARY std;
72 USE std.textio.ALL;
73 
74 LIBRARY work;
75 USE work.ADDR_FIFO_pkg.ALL;
76 
77 --------------------------------------------------------------------------------
78 -- Entity Declaration
79 --------------------------------------------------------------------------------
80 ENTITY ADDR_FIFO_synth IS
81  GENERIC(
82  FREEZEON_ERROR : INTEGER := 0;
83  TB_STOP_CNT : INTEGER := 0;
84  TB_SEED : INTEGER := 1
85  );
86  PORT(
87  CLK : IN STD_LOGIC;
88  RESET : IN STD_LOGIC;
89  SIM_DONE : OUT STD_LOGIC;
90  STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
91  );
92 END ENTITY;
93 
94 ARCHITECTURE simulation_arch OF ADDR_FIFO_synth IS
95 
96  -- FIFO interface signal declarations
97  SIGNAL clk_i : STD_LOGIC;
98  SIGNAL srst : STD_LOGIC;
99  SIGNAL wr_en : STD_LOGIC;
100  SIGNAL rd_en : STD_LOGIC;
101  SIGNAL din : STD_LOGIC_VECTOR(48-1 DOWNTO 0);
102  SIGNAL dout : STD_LOGIC_VECTOR(48-1 DOWNTO 0);
103  SIGNAL full : STD_LOGIC;
104  SIGNAL empty : STD_LOGIC;
105  -- TB Signals
106  SIGNAL wr_data : STD_LOGIC_VECTOR(48-1 DOWNTO 0);
107  SIGNAL dout_i : STD_LOGIC_VECTOR(48-1 DOWNTO 0);
108  SIGNAL wr_en_i : STD_LOGIC := '0';
109  SIGNAL rd_en_i : STD_LOGIC := '0';
110  SIGNAL full_i : STD_LOGIC := '0';
111  SIGNAL empty_i : STD_LOGIC := '0';
112  SIGNAL almost_full_i : STD_LOGIC := '0';
113  SIGNAL almost_empty_i : STD_LOGIC := '0';
114  SIGNAL prc_we_i : STD_LOGIC := '0';
115  SIGNAL prc_re_i : STD_LOGIC := '0';
116  SIGNAL dout_chk_i : STD_LOGIC := '0';
117  SIGNAL rst_int_rd : STD_LOGIC := '0';
118  SIGNAL rst_int_wr : STD_LOGIC := '0';
119  SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
120  SIGNAL rst_s_wr3 : STD_LOGIC := '0';
121  SIGNAL rst_s_rd : STD_LOGIC := '0';
122  SIGNAL reset_en : STD_LOGIC := '0';
123  SIGNAL rst_async_rd1 : STD_LOGIC := '0';
124  SIGNAL rst_async_rd2 : STD_LOGIC := '0';
125  SIGNAL rst_async_rd3 : STD_LOGIC := '0';
126 
127  SIGNAL rst_sync_rd1 : STD_LOGIC := '0';
128  SIGNAL rst_sync_rd2 : STD_LOGIC := '0';
129  SIGNAL rst_sync_rd3 : STD_LOGIC := '0';
130 
131  BEGIN
132 
133  ---- Reset generation logic -----
134  rst_int_wr <= rst_async_rd3 OR rst_s_rd;
135  rst_int_rd <= rst_async_rd3 OR rst_s_rd;
136 
137  --Testbench reset synchronization
138  PROCESS(clk_i,RESET)
139  BEGIN
140  IF(RESET = '1') THEN
141  rst_async_rd1 <= '1';
142  rst_async_rd2 <= '1';
143  rst_async_rd3 <= '1';
144  ELSIF(clk_i'event AND clk_i='1') THEN
145  rst_async_rd1 <= RESET;
146  rst_async_rd2 <= rst_async_rd1;
147  rst_async_rd3 <= rst_async_rd2;
148  END IF;
149  END PROCESS;
150 
151  --Synchronous reset generation for FIFO core
152  PROCESS(clk_i)
153  BEGIN
154  IF(clk_i'event AND clk_i='1') THEN
155  rst_sync_rd1 <= RESET;
156  rst_sync_rd2 <= rst_sync_rd1;
157  rst_sync_rd3 <= rst_sync_rd2;
158  END IF;
159  END PROCESS;
160 
161  --Soft reset for core and testbench
162  PROCESS(clk_i)
163  BEGIN
164  IF(clk_i'event AND clk_i='1') THEN
165  rst_gen_rd <= rst_gen_rd + "1";
166  IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN
167  rst_s_rd <= '1';
168  assert false
169  report "Reset applied..Memory Collision checks are not valid"
170  severity note;
171  ELSE
172  IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN
173  rst_s_rd <= '0';
174  assert false
175  report "Reset removed..Memory Collision checks are valid"
176  severity note;
177  END IF;
178  END IF;
179  END IF;
180  END PROCESS;
181  ------------------
182 
183  ---- Clock buffers for testbench ----
184  clk_i <= CLK;
185  ------------------
186 
187  srst <= rst_sync_rd3 OR rst_s_rd AFTER 50 ns;
188  din <= wr_data;
189  dout_i <= dout;
190  wr_en <= wr_en_i;
191  rd_en <= rd_en_i;
192  full_i <= full;
193  empty_i <= empty;
194 
195  fg_dg_nv: ADDR_FIFO_dgen
196  GENERIC MAP (
197  C_DIN_WIDTH => 48,
198  C_DOUT_WIDTH => 48,
199  TB_SEED => TB_SEED ,
200  C_CH_TYPE => 0
201  )
202  PORT MAP ( -- Write Port
203  RESET => rst_int_wr,
204  WR_CLK => clk_i,
205  PRC_WR_EN => prc_we_i,
206  FULL => full_i,
207  WR_EN => wr_en_i,
208  WR_DATA => wr_data
209  );
210 
211  fg_dv_nv: ADDR_FIFO_dverif
212  GENERIC MAP (
213  C_DOUT_WIDTH => 48,
214  C_DIN_WIDTH => 48,
215  C_USE_EMBEDDED_REG => 0,
216  TB_SEED => TB_SEED,
217  C_CH_TYPE => 0
218  )
219  PORT MAP(
220  RESET => rst_int_rd,
221  RD_CLK => clk_i,
222  PRC_RD_EN => prc_re_i,
223  RD_EN => rd_en_i,
224  EMPTY => empty_i,
225  DATA_OUT => dout_i,
226  DOUT_CHK => dout_chk_i
227  );
228 
229  fg_pc_nv: ADDR_FIFO_pctrl
230  GENERIC MAP (
231  AXI_CHANNEL => "Native",
232  C_APPLICATION_TYPE => 0,
233  C_DOUT_WIDTH => 48,
234  C_DIN_WIDTH => 48,
235  C_WR_PNTR_WIDTH => 4,
236  C_RD_PNTR_WIDTH => 4,
237  C_CH_TYPE => 0,
238  FREEZEON_ERROR => FREEZEON_ERROR ,
239  TB_SEED => TB_SEED,
240  TB_STOP_CNT => TB_STOP_CNT
241  )
242  PORT MAP(
243  RESET_WR => rst_int_wr,
244  RESET_RD => rst_int_rd,
245  RESET_EN => reset_en,
246  WR_CLK => clk_i,
247  RD_CLK => clk_i,
248  PRC_WR_EN => prc_we_i,
249  PRC_RD_EN => prc_re_i,
250  FULL => full_i,
251  ALMOST_FULL => almost_full_i ,
252  ALMOST_EMPTY => almost_empty_i ,
253  DOUT_CHK => dout_chk_i,
254  EMPTY => empty_i,
255  DATA_IN => wr_data,
256  DATA_OUT => dout,
257  SIM_DONE => SIM_DONE,
258  STATUS => STATUS
259  );
260 
261 
262 
263 
264 
265  ADDR_FIFO_inst : ADDR_FIFO_exdes
266  PORT MAP (
267  CLK => clk_i,
268  SRST => srst,
269  WR_EN => wr_en,
270  RD_EN => rd_en,
271  DIN => din,
272  DOUT => dout,
273  FULL => full,
274  EMPTY => empty);
275 
276 END ARCHITECTURE;