otsdaq_prepmodernization  v2_05_02_indev
fifo_adc Entity Reference

Libraries

ieee 
XilinxCoreLib 

Use Clauses

ieee.std_logic_1164.all 

Ports

rst   in STD_LOGIC
wr_clk   in STD_LOGIC
rd_clk   in STD_LOGIC
din   in STD_LOGIC_VECTOR ( 15 downto 0 )
wr_en   in STD_LOGIC
rd_en   in STD_LOGIC
dout   out STD_LOGIC_VECTOR ( 63 downto 0 )
full   out STD_LOGIC
overflow   out STD_LOGIC
empty   out STD_LOGIC
rd_data_count   out STD_LOGIC_VECTOR ( 7 downto 0 )
wr_data_count   out STD_LOGIC_VECTOR ( 9 downto 0 )

Detailed Description

Definition at line 43 of file fifo_adc.vhd.


The documentation for this class was generated from the following file: