otsdaq_prepmodernization  v2_05_02_indev
buffer_10bit Entity Reference

Libraries

IEEE 

Use Clauses

IEEE.STD_LOGIC_1164.all 
IEEE.STD_LOGIC_ARITH.all 
IEEE.STD_LOGIC_UNSIGNED.all 

Ports

i   in STD_LOGIC_VECTOR ( 9 downto 0 )
o   out STD_LOGIC_VECTOR ( 9 downto 0 )

Detailed Description

Definition at line 30 of file buffer_10bit.vhd.


The documentation for this class was generated from the following file: