otsdaq_prepmodernization  v2_05_02_indev
buffer_10bit.vhd
1 ----------------------------------------------------------------------------------
2 -- Company:
3 -- Engineer:
4 --
5 -- Create Date: 10:36:39 10/22/2009
6 -- Design Name:
7 -- Module Name: buffer_12bit - Behavioral
8 -- Project Name:
9 -- Target Devices:
10 -- Tool versions:
11 -- Description:
12 --
13 -- Dependencies:
14 --
15 -- Revision:
16 -- Revision 0.01 - File Created
17 -- Additional Comments:
18 --
19 ----------------------------------------------------------------------------------
20 library IEEE;
21 use IEEE.STD_LOGIC_1164.ALL;
22 use IEEE.STD_LOGIC_ARITH.ALL;
23 use IEEE.STD_LOGIC_UNSIGNED.ALL;
24 
25 ---- Uncomment the following library declaration if instantiating
26 ---- any Xilinx primitives in this code.
27 --library UNISIM;
28 --use UNISIM.VComponents.all;
29 
30 entity buffer_10bit is
31  Port ( i : in STD_LOGIC_VECTOR (9 downto 0);
32  o : out STD_LOGIC_VECTOR (9 downto 0));
33 end buffer_10bit;
34 
35 architecture Behavioral of buffer_10bit is
36 
37 begin
38  o <= i;
39 end Behavioral;
40