otsdaq_prepmodernization  v2_05_02_indev
buf_one_exdes.vhd
1 
2 
3 
4 
5 
6 
7 
8 --------------------------------------------------------------------------------
9 --
10 -- BLK MEM GEN v7.1 Core - Top-level core wrapper
11 --
12 --------------------------------------------------------------------------------
13 --
14 -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
15 --
16 -- This file contains confidential and proprietary information
17 -- of Xilinx, Inc. and is protected under U.S. and
18 -- international copyright and other intellectual property
19 -- laws.
20 --
21 -- DISCLAIMER
22 -- This disclaimer is not a license and does not grant any
23 -- rights to the materials distributed herewith. Except as
24 -- otherwise provided in a valid license issued to you by
25 -- Xilinx, and to the maximum extent permitted by applicable
26 -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
27 -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
28 -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
29 -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
30 -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
31 -- (2) Xilinx shall not be liable (whether in contract or tort,
32 -- including negligence, or under any other theory of
33 -- liability) for any loss or damage of any kind or nature
34 -- related to, arising under or in connection with these
35 -- materials, including for any direct, or any indirect,
36 -- special, incidental, or consequential loss or damage
37 -- (including loss of data, profits, goodwill, or any type of
38 -- loss or damage suffered as a result of any action brought
39 -- by a third party) even if such damage or loss was
40 -- reasonably foreseeable or Xilinx had been advised of the
41 -- possibility of the same.
42 --
43 -- CRITICAL APPLICATIONS
44 -- Xilinx products are not designed or intended to be fail-
45 -- safe, or for use in any application requiring fail-safe
46 -- performance, such as life-support or safety devices or
47 -- systems, Class III medical devices, nuclear facilities,
48 -- applications related to the deployment of airbags, or any
49 -- other applications that could lead to death, personal
50 -- injury, or severe property or environmental damage
51 -- (individually and collectively, "Critical
52 -- Applications"). Customer assumes the sole risk and
53 -- liability of any use of Xilinx products in Critical
54 -- Applications, subject only to applicable laws and
55 -- regulations governing limitations on product liability.
56 --
57 -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
58 -- PART OF THIS FILE AT ALL TIMES.
59 
60 --------------------------------------------------------------------------------
61 --
62 -- Filename: buf_one_exdes.vhd
63 --
64 -- Description:
65 -- This is the actual BMG core wrapper.
66 --
67 --------------------------------------------------------------------------------
68 -- Author: IP Solutions Division
69 --
70 -- History: August 31, 2005 - First Release
71 --------------------------------------------------------------------------------
72 --
73 --------------------------------------------------------------------------------
74 -- Library Declarations
75 --------------------------------------------------------------------------------
76 
77 LIBRARY IEEE;
78 USE IEEE.STD_LOGIC_1164.ALL;
79 USE IEEE.STD_LOGIC_ARITH.ALL;
80 USE IEEE.STD_LOGIC_UNSIGNED.ALL;
81 
82 LIBRARY UNISIM;
83 USE UNISIM.VCOMPONENTS.ALL;
84 
85 --------------------------------------------------------------------------------
86 -- Entity Declaration
87 --------------------------------------------------------------------------------
88 ENTITY buf_one_exdes IS
89  PORT (
90  --Inputs - Port A
91 
92  WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
93  ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
94 
95  DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
96 
97  CLKA : IN STD_LOGIC;
98 
99 
100  --Inputs - Port B
101  ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
102  DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
103  CLKB : IN STD_LOGIC
104 
105  );
106 
107 END buf_one_exdes;
108 
109 
110 ARCHITECTURE xilinx OF buf_one_exdes IS
111 
112  COMPONENT BUFG IS
113  PORT (
114  I : IN STD_ULOGIC;
115  O : OUT STD_ULOGIC
116  );
117  END COMPONENT;
118 
119  COMPONENT buf_one IS
120  PORT (
121  --Port A
122 
123  WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
124  ADDRA : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
125 
126  DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
127 
128 
129  CLKA : IN STD_LOGIC;
130 
131 
132  --Port B
133  ADDRB : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
134  DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
135  CLKB : IN STD_LOGIC
136 
137 
138  );
139  END COMPONENT;
140 
141  SIGNAL CLKA_buf : STD_LOGIC;
142  SIGNAL CLKB_buf : STD_LOGIC;
143  SIGNAL S_ACLK_buf : STD_LOGIC;
144 
145 BEGIN
146 
147  bufg_A : BUFG
148  PORT MAP (
149  I => CLKA,
150  O => CLKA_buf
151  );
152 
153  bufg_B : BUFG
154  PORT MAP (
155  I => CLKB,
156  O => CLKB_buf
157  );
158 
159 
160  bmg0 : buf_one
161  PORT MAP (
162  --Port A
163 
164  WEA => WEA,
165  ADDRA => ADDRA,
166 
167  DINA => DINA,
168 
169  CLKA => CLKA_buf ,
170 
171 
172  --Port B
173  ADDRB => ADDRB,
174  DOUTB => DOUTB,
175  CLKB => CLKB_buf
176 
177  );
178 
179 END xilinx;