otsdaq_prepmodernization  v2_05_02_indev
blk_mem_gen_v2_6.vhd
1 --------------------------------------------------------------------------------
2 -- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
3 --------------------------------------------------------------------------------
4 -- ____ ____
5 -- / /\/ /
6 -- /___/ \ / Vendor: Xilinx
7 -- \ \ \/ Version : 14.7
8 -- \ \ Application :
9 -- / / Filename : xil_3076_103
10 -- /___/ /\ Timestamp : 07/06/2016 09:55:02
11 -- \ \ / \
12 -- \___\/\___\
13 --
14 --Command:
15 --Design Name:
16 --
17 
18 library ieee;
19 use ieee.std_logic_1164.ALL;
20 use ieee.numeric_std.ALL;
21 library UNISIM;
22 use UNISIM.Vcomponents.ALL;
23 
25  port ( addra : in std_logic_vector (5 downto 0);
26  addrb : in std_logic_vector (5 downto 0);
27  clka : in std_logic;
28  clkb : in std_logic;
29  dina : in std_logic_vector (63 downto 0);
30  dinb : in std_logic_vector (63 downto 0);
31  wea : in std_logic_vector (0 downto 0);
32  web : in std_logic_vector (0 downto 0);
33  douta : out std_logic_vector (63 downto 0);
34  doutb : out std_logic_vector (63 downto 0));
35 end blk_mem_gen_v2_6;
36 
37 architecture BEHAVIORAL of blk_mem_gen_v2_6 is
38 begin
39 end BEHAVIORAL;
40 
41 -- synopsys translate_off
42 configuration CFG_blk_mem_gen_v2_6 of blk_mem_gen_v2_6 is
43  for BEHAVIORAL
44  end for;
45 end CFG_blk_mem_gen_v2_6;
46 -- synopsys translate_on
47