otsdaq_prepmodernization  v2_05_02_indev
ClockLatchSignals_tb.vhd
1 --------------------------------------------------------------------------------
2 -- Company:
3 -- Engineer:
4 --
5 -- Create Date: 10:38:32 09/30/2016
6 -- Design Name:
7 -- Module Name: D:/cbradford/NewADCFirmware/GEL_CAPTAN/ClockLatchSignals_tb.vhd
8 -- Project Name: dig_mac
9 -- Target Device:
10 -- Tool versions:
11 -- Description:
12 --
13 -- VHDL Test Bench Created by ISE for module: ClockLatchSignals
14 --
15 -- Dependencies:
16 --
17 -- Revision:
18 -- Revision 0.01 - File Created
19 -- Additional Comments:
20 --
21 -- Notes:
22 -- This testbench has been automatically generated using types std_logic and
23 -- std_logic_vector for the ports of the unit under test. Xilinx recommends
24 -- that these types always be used for the top-level I/O of a design in order
25 -- to guarantee that the testbench will bind correctly to the post-implementation
26 -- simulation model.
27 --------------------------------------------------------------------------------
28 LIBRARY ieee;
29 USE ieee.std_logic_1164.ALL;
30 
31 -- Uncomment the following library declaration if using
32 -- arithmetic functions with Signed or Unsigned values
33 --USE ieee.numeric_std.ALL;
34 
36 END ClockLatchSignals_tb;
37 
38 ARCHITECTURE behavior OF ClockLatchSignals_tb IS
39 
40  -- Component Declaration for the Unit Under Test (UUT)
41 
42  COMPONENT ClockLatchSignals
43  PORT(
44  clk : IN std_logic;
45  rst : IN std_logic;
46  signals : OUT std_logic_vector(7 downto 0)
47  );
48  END COMPONENT;
49 
50 
51  --Inputs
52  signal clk : std_logic := '0';
53  signal rst : std_logic := '0';
54 
55  --Outputs
56  signal signals : std_logic_vector(7 downto 0);
57 
58  -- Clock period definitions
59  constant clk_period : time := 10 ns;
60 
61 BEGIN
62 
63  -- Instantiate the Unit Under Test (UUT)
64  uut: ClockLatchSignals PORT MAP (
65  clk => clk,
66  rst => rst,
67  signals => signals
68  );
69 
70  -- Clock process definitions
71  clk_process :process
72  begin
73  clk <= '0';
74  wait for clk_period/2;
75  clk <= '1';
76  wait for clk_period/2;
77  end process;
78 
79 
80  -- Stimulus process
81  stim_proc: process
82  begin
83  -- hold reset state for 100 ns.
84  rst <= '0';
85  wait for 10 ns;
86 
87  rst <= '1';
88 
89  wait for clk_period*10;
90 
91  -- insert stimulus here
92 
93  wait;
94  end process;
95 
96 END;