otsdaq_prepmodernization  v2_05_02_indev
ADDR_FIFO_tb.vhd
1 --------------------------------------------------------------------------------
2 --
3 -- FIFO Generator Core Demo Testbench
4 --
5 --------------------------------------------------------------------------------
6 --
7 -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
8 --
9 -- This file contains confidential and proprietary information
10 -- of Xilinx, Inc. and is protected under U.S. and
11 -- international copyright and other intellectual property
12 -- laws.
13 --
14 -- DISCLAIMER
15 -- This disclaimer is not a license and does not grant any
16 -- rights to the materials distributed herewith. Except as
17 -- otherwise provided in a valid license issued to you by
18 -- Xilinx, and to the maximum extent permitted by applicable
19 -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
20 -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
21 -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
22 -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
23 -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
24 -- (2) Xilinx shall not be liable (whether in contract or tort,
25 -- including negligence, or under any other theory of
26 -- liability) for any loss or damage of any kind or nature
27 -- related to, arising under or in connection with these
28 -- materials, including for any direct, or any indirect,
29 -- special, incidental, or consequential loss or damage
30 -- (including loss of data, profits, goodwill, or any type of
31 -- loss or damage suffered as a result of any action brought
32 -- by a third party) even if such damage or loss was
33 -- reasonably foreseeable or Xilinx had been advised of the
34 -- possibility of the same.
35 --
36 -- CRITICAL APPLICATIONS
37 -- Xilinx products are not designed or intended to be fail-
38 -- safe, or for use in any application requiring fail-safe
39 -- performance, such as life-support or safety devices or
40 -- systems, Class III medical devices, nuclear facilities,
41 -- applications related to the deployment of airbags, or any
42 -- other applications that could lead to death, personal
43 -- injury, or severe property or environmental damage
44 -- (individually and collectively, "Critical
45 -- Applications"). Customer assumes the sole risk and
46 -- liability of any use of Xilinx products in Critical
47 -- Applications, subject only to applicable laws and
48 -- regulations governing limitations on product liability.
49 --
50 -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
51 -- PART OF THIS FILE AT ALL TIMES.
52 --------------------------------------------------------------------------------
53 --
54 -- Filename: ADDR_FIFO_tb.vhd
55 --
56 -- Description:
57 -- This is the demo testbench top file for fifo_generator core.
58 --
59 --------------------------------------------------------------------------------
60 -- Library Declarations
61 --------------------------------------------------------------------------------
62 LIBRARY ieee;
63 LIBRARY std;
64 USE ieee.std_logic_1164.ALL;
65 USE ieee.std_logic_unsigned.ALL;
66 USE IEEE.std_logic_arith.ALL;
67 USE IEEE.std_logic_misc.ALL;
68 USE ieee.numeric_std.ALL;
69 USE ieee.std_logic_textio.ALL;
70 USE std.textio.ALL;
71 
72 LIBRARY work;
73 USE work.ADDR_FIFO_pkg.ALL;
74 
75 ENTITY ADDR_FIFO_tb IS
76 END ENTITY;
77 
78 
79 ARCHITECTURE ADDR_FIFO_arch OF ADDR_FIFO_tb IS
80  SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000";
81  SIGNAL wr_clk : STD_LOGIC;
82  SIGNAL reset : STD_LOGIC;
83  SIGNAL sim_done : STD_LOGIC := '0';
84  SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
85  -- Write and Read clock periods
86  CONSTANT wr_clk_period_by_2 : TIME := 100 ns;
87  -- Procedures to display strings
88  PROCEDURE disp_str(CONSTANT str:IN STRING) IS
89  variable dp_l : line := null;
90  BEGIN
91  write(dp_l,str);
92  writeline(output,dp_l);
93  END PROCEDURE;
94 
95  PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS
96  variable dp_lx : line := null;
97  BEGIN
98  hwrite(dp_lx,hex);
99  writeline(output,dp_lx);
100  END PROCEDURE;
101 
102 BEGIN
103 
104  -- Generation of clock
105 
106  PROCESS BEGIN
107  WAIT FOR 200 ns; -- Wait for global reset
108  WHILE 1 = 1 LOOP
109  wr_clk <= '0';
110  WAIT FOR wr_clk_period_by_2;
111  wr_clk <= '1';
112  WAIT FOR wr_clk_period_by_2;
113  END LOOP;
114  END PROCESS;
115 
116  -- Generation of Reset
117 
118  PROCESS BEGIN
119  reset <= '1';
120  WAIT FOR 2100 ns;
121  reset <= '0';
122  WAIT;
123  END PROCESS;
124 
125 
126  -- Error message printing based on STATUS signal from ADDR_FIFO_synth
127 
128  PROCESS(status)
129  BEGIN
130  IF(status /= "0" AND status /= "1") THEN
131  disp_str("STATUS:");
132  disp_hex(status);
133  END IF;
134 
135  IF(status(7) = '1') THEN
136  assert false
137  report "Data mismatch found"
138  severity error;
139  END IF;
140 
141  IF(status(1) = '1') THEN
142  END IF;
143 
144  IF(status(5) = '1') THEN
145  assert false
146  report "Empty flag Mismatch/timeout"
147  severity error;
148  END IF;
149 
150  IF(status(6) = '1') THEN
151  assert false
152  report "Full Flag Mismatch/timeout"
153  severity error;
154  END IF;
155  END PROCESS;
156 
157 
158  PROCESS
159  BEGIN
160  wait until sim_done = '1';
161  IF(status /= "0" AND status /= "1") THEN
162  assert false
163  report "Simulation failed"
164  severity failure;
165  ELSE
166  assert false
167  report "Test Completed Successfully"
168  severity failure;
169  END IF;
170  END PROCESS;
171 
172  PROCESS
173  BEGIN
174  wait for 400 ms;
175  assert false
176  report "Test bench timed out"
177  severity failure;
178  END PROCESS;
179 
180  -- Instance of ADDR_FIFO_synth
181 
182  ADDR_FIFO_synth_inst:ADDR_FIFO_synth
183  GENERIC MAP(
184  FREEZEON_ERROR => 0,
185  TB_STOP_CNT => 2,
186  TB_SEED => 67
187  )
188  PORT MAP(
189  CLK => wr_clk ,
190  RESET => reset,
191  SIM_DONE => sim_done,
192  STATUS => status
193  );
194 
195 END ARCHITECTURE;