otsdaq_prepmodernization  v2_05_02_indev
ADC_FIFO_exdes.vhd
1 --------------------------------------------------------------------------------
2 --
3 -- FIFO Generator Core - core top file for implementation
4 --
5 --------------------------------------------------------------------------------
6 --
7 -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
8 --
9 -- This file contains confidential and proprietary information
10 -- of Xilinx, Inc. and is protected under U.S. and
11 -- international copyright and other intellectual property
12 -- laws.
13 --
14 -- DISCLAIMER
15 -- This disclaimer is not a license and does not grant any
16 -- rights to the materials distributed herewith. Except as
17 -- otherwise provided in a valid license issued to you by
18 -- Xilinx, and to the maximum extent permitted by applicable
19 -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
20 -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
21 -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
22 -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
23 -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
24 -- (2) Xilinx shall not be liable (whether in contract or tort,
25 -- including negligence, or under any other theory of
26 -- liability) for any loss or damage of any kind or nature
27 -- related to, arising under or in connection with these
28 -- materials, including for any direct, or any indirect,
29 -- special, incidental, or consequential loss or damage
30 -- (including loss of data, profits, goodwill, or any type of
31 -- loss or damage suffered as a result of any action brought
32 -- by a third party) even if such damage or loss was
33 -- reasonably foreseeable or Xilinx had been advised of the
34 -- possibility of the same.
35 --
36 -- CRITICAL APPLICATIONS
37 -- Xilinx products are not designed or intended to be fail-
38 -- safe, or for use in any application requiring fail-safe
39 -- performance, such as life-support or safety devices or
40 -- systems, Class III medical devices, nuclear facilities,
41 -- applications related to the deployment of airbags, or any
42 -- other applications that could lead to death, personal
43 -- injury, or severe property or environmental damage
44 -- (individually and collectively, "Critical
45 -- Applications"). Customer assumes the sole risk and
46 -- liability of any use of Xilinx products in Critical
47 -- Applications, subject only to applicable laws and
48 -- regulations governing limitations on product liability.
49 --
50 -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
51 -- PART OF THIS FILE AT ALL TIMES.
52 --------------------------------------------------------------------------------
53 --
54 -- Filename: ADC_FIFO_exdes.vhd
55 --
56 -- Description:
57 -- This is the FIFO core wrapper with BUFG instances for clock connections.
58 --
59 --------------------------------------------------------------------------------
60 -- Library Declarations
61 --------------------------------------------------------------------------------
62 
63 library ieee;
64 use ieee.std_logic_1164.all;
65 use ieee.std_logic_arith.all;
66 use ieee.std_logic_unsigned.all;
67 
68 library unisim;
69 use unisim.vcomponents.all;
70 
71 --------------------------------------------------------------------------------
72 -- Entity Declaration
73 --------------------------------------------------------------------------------
74 entity ADC_FIFO_exdes is
75  PORT (
76  WR_CLK : IN std_logic;
77  RD_CLK : IN std_logic;
78  VALID : OUT std_logic;
79  OVERFLOW : OUT std_logic;
80  WR_EN : IN std_logic;
81  RD_EN : IN std_logic;
82  DIN : IN std_logic_vector(16-1 DOWNTO 0);
83  DOUT : OUT std_logic_vector(64-1 DOWNTO 0);
84  FULL : OUT std_logic;
85  EMPTY : OUT std_logic);
86 
87 end ADC_FIFO_exdes;
88 
89 
90 
91 architecture xilinx of ADC_FIFO_exdes is
92 
93  signal wr_clk_i : std_logic;
94  signal rd_clk_i : std_logic;
95 
96 
97 
98  component ADC_FIFO is
99  PORT (
100  WR_CLK : IN std_logic;
101  RD_CLK : IN std_logic;
102  VALID : OUT std_logic;
103  OVERFLOW : OUT std_logic;
104  WR_EN : IN std_logic;
105  RD_EN : IN std_logic;
106  DIN : IN std_logic_vector(16-1 DOWNTO 0);
107  DOUT : OUT std_logic_vector(64-1 DOWNTO 0);
108  FULL : OUT std_logic;
109  EMPTY : OUT std_logic);
110 
111  end component;
112 
113 
114 begin
115 
116  wr_clk_buf: bufg
117  PORT map(
118  i => WR_CLK,
119  o => wr_clk_i
120  );
121 
122  rd_clk_buf: bufg
123  PORT map(
124  i => RD_CLK,
125  o => rd_clk_i
126  );
127 
128 
129  exdes_inst : ADC_FIFO
130  PORT MAP (
131  WR_CLK => wr_clk_i,
132  RD_CLK => rd_clk_i,
133  VALID => valid,
134  OVERFLOW => overflow,
135  WR_EN => wr_en,
136  RD_EN => rd_en,
137  DIN => din,
138  DOUT => dout,
139  FULL => full,
140  EMPTY => empty);
141 
142 end xilinx;