otsdaq_prepmodernization  v2_05_02_indev
stat_mux.vhd
1 ----------------------------------------------------------------------------------
2 -- Company: Fermilab
3 -- Engineer: Collin Bradford
4 --
5 -- Create Date: 14:07:15 08/11/2017
6 -- Design Name:
7 -- Module Name: stat_mux - Behavioral
8 -- Project Name:
9 -- Target Devices:
10 -- Tool versions:
11 -- Description: This module combines the data and control signals from the statistics module with the data and conrol signals from the
12 -- adc. For obvious reasons, the adc data always comes first, and, although the stsatistics module won't send a packet while adc data
13 -- is activly being sent, if a trigger comes in the exact same clock cycle as a statistic packet, the statistics packet and adc packet
14 -- will be sent at the same time. If this every happens, this module will give the adc data priority and will ignore the disruptive
15 -- statistic packet.
16 --
17 -- Dependencies:
18 --
19 -- Revision:
20 -- Revision 0.01 - File Created
21 -- Additional Comments:
22 --
23 ----------------------------------------------------------------------------------
24 library IEEE;
25 use IEEE.STD_LOGIC_1164.ALL;
26 
27 -- Uncomment the following library declaration if using
28 -- arithmetic functions with Signed or Unsigned values
29 --use IEEE.NUMERIC_STD.ALL;
30 
31 -- Uncomment the following library declaration if instantiating
32 -- any Xilinx primitives in this code.
33 --library UNISIM;
34 --use UNISIM.VComponents.all;
35 
36 entity stat_mux is
37  Port ( ethernet_data : in STD_LOGIC_VECTOR (63 downto 0);
38  stat_data : in STD_LOGIC_VECTOR (63 downto 0);
39  new_stat : in STD_LOGIC;
40  new_peak : in STD_LOGIC;
41  ethernet_data_wr_en : in STD_LOGIC;
42  stat_data_wr_en : in STD_LOGIC;
43  master_clk : in STD_LOGIC;
44  reset : in STD_LOGIC;
45  combined_data_out : out STD_LOGIC_VECTOR (63 downto 0);
46  combined_new_packet : out STD_LOGIC);
47 end stat_mux;
48 
49 architecture Behavioral of stat_mux is
50 
51 begin
52 
53  if(
54 
55 end Behavioral;
56