otsdaq_prepmodernization  v2_05_02_indev
psudoData.vhd
1 ----------------------------------------------------------------------------------
2 -- Company:
3 -- Engineer:
4 --
5 -- Create Date: 14:18:45 07/18/2016
6 -- Design Name:
7 -- Module Name: psudoData - Behavioral
8 -- Project Name:
9 -- Target Devices:
10 -- Tool versions:
11 -- Description:
12 --
13 -- Dependencies:
14 --
15 -- Revision:
16 -- Revision 0.01 - File Created
17 -- Additional Comments:
18 --
19 ----------------------------------------------------------------------------------
20 library IEEE;
21 use IEEE.STD_LOGIC_1164.ALL;
22 use IEEE.NUMERIC_STD.ALL;
23 use IEEE.STD_LOGIC_UNSIGNED.ALL;
24 
25 entity psudoData is
26  Port ( clk : in STD_LOGIC;
27  reset : in STD_LOGIC;
28  delay : in STD_LOGIC_VECTOR (7 downto 0);
29  data_out : out STD_LOGIC_VECTOR (15 downto 0));
30 end psudoData;
31 
32 architecture Behavioral of psudoData is
33 signal counter : unsigned(7 downto 0);
34 signal counter_two : unsigned(7 downto 0);
35 signal delayCounter : unsigned(7 downto 0);
36 signal unsDelay : unsigned(7 downto 0);
37 
38 begin
39  unsDelay <= (others => '0');
40  process(clk) begin
41  data_out(7 downto 0) <= std_logic_vector(counter);
42  data_out(15 downto 8) <= std_logic_vector(counter_two);
43 
44  if(reset = '0') then
45  if(rising_edge(clk)) then
46  counter <= counter + 2;
47  end if;
48  counter_two <= counter + 1;
49  else
50  counter <= (others => '0');
51  counter_two <= (others => '0');
52  end if;
53  end process;
54 
55 end Behavioral;
56