otsdaq_prepmodernization  v2_05_02_indev
ethernetFIFOTester.vhd
1 ----------------------------------------------------------------------------------
2 -- Company:
3 -- Engineer:
4 --
5 -- Create Date: 13:37:51 07/06/2016
6 -- Design Name:
7 -- Module Name: ethernetFIFOTester - Behavioral
8 -- Project Name:
9 -- Target Devices:
10 -- Tool versions:
11 -- Description:
12 --
13 -- Dependencies:
14 --
15 -- Revision:
16 -- Revision 0.01 - File Created
17 -- Additional Comments:
18 --
19 ----------------------------------------------------------------------------------
20 library IEEE;
21 use IEEE.STD_LOGIC_1164.ALL;
22 
23 -- Uncomment the following library declaration if using
24 -- arithmetic functions with Signed or Unsigned values
25 --use IEEE.NUMERIC_STD.ALL;
26 
27 -- Uncomment the following library declaration if instantiating
28 -- any Xilinx primitives in this code.
29 --library UNISIM;
30 --use UNISIM.VComponents.all;
31 
33  Port ( full : in STD_LOGIC;
34  empty : in STD_LOGIC;
35  dout : in STD_LOGIC;
36  prog_empty : in STD_LOGIC;
37  m_clk : in STD_LOGIC;
38  rst : out STD_LOGIC;
39  din : out STD_LOGIC_VECTOR (31 downto 0);
40  wr_en : out STD_LOGIC;
41  rd_en : out STD_LOGIC);
42 end ethernetFIFOTester;
43 
44 architecture Behavioral of ethernetFIFOTester is
45 
46 begin
47 
48 
49 end Behavioral;
50