otsdaq_prepmodernization  v2_05_02_indev
user_addrs_mux Entity Reference

Libraries

IEEE 

Use Clauses

IEEE.std_logic_1164.all 
IEEE.std_logic_arith.all 
IEEE.std_logic_unsigned.all 

Ports

user_addrs   in std_logic_vector ( 7 downto 0 )
user_length   in std_logic_vector ( 10 downto 0 )
test_mode   in std_logic
ping_mode   in std_logic
udp_tx_length   out std_logic_vector ( 10 downto 0 )
addrs_out   out std_logic_vector ( 7 downto 0 )
user_dest_addr   in std_logic_vector ( 31 downto 0 )
icmp_mode   in std_logic
icmp_length   in std_logic_vector ( 10 downto 0 )
icmp_dest_addr   in std_logic_vector ( 31 downto 0 )
ip_dest_addr   out std_logic_vector ( 31 downto 0 )
ip_tx_length   out std_logic_vector ( 10 downto 0 )

Detailed Description

Definition at line 8 of file user_addrs_mux.vhd.


The documentation for this class was generated from the following files: