otsdaq_prepmodernization  v2_05_02_indev
reset_mgr Entity Reference

Libraries

IEEE 

Use Clauses

IEEE.STD_LOGIC_1164.all 
IEEE.NUMERIC_STD.all 
IEEE.std_logic_misc.all 

Ports

slow_clk   in STD_LOGIC
reset_start   in STD_LOGIC
reset   out STD_LOGIC

Detailed Description

Definition at line 26 of file reset_mgr.vhd.


The documentation for this class was generated from the following file: