otsdaq_prepmodernization  v2_05_02_indev
or33 Entity Reference

Libraries

IEEE 

Use Clauses

IEEE.std_logic_1164.all 
IEEE.std_logic_arith.all 
IEEE.std_logic_unsigned.all 

Ports

a1   in std_logic
b1   in std_logic
c1   in std_logic
a2   in std_logic
b2   in std_logic
c2   in std_logic
ao   out STD_LOGIC
bo   out STD_LOGIC
co   out STD_LOGIC

Detailed Description

Definition at line 8 of file or33.vhd.


The documentation for this class was generated from the following files: