otsdaq_prepmodernization  v2_05_02_indev
ip_checksum_calc Entity Reference

Libraries

IEEE 

Use Clauses

IEEE.std_logic_1164.all 
IEEE.std_logic_arith.all 
IEEE.std_logic_unsigned.all 
ieee.numeric_std.all 
work.params_package.all 

Ports

addrs   in STD_LOGIC_VECTOR ( 7 downto 0 )
clk   in STD_LOGIC
length   in STD_LOGIC_VECTOR ( 10 downto 0 )
reset   in STD_LOGIC
trigger   in STD_LOGIC
cs   out STD_LOGIC_VECTOR ( 15 downto 0 )
icmp_mode   in std_logic
src_in   in std_logic_vector ( 31 downto 0 )
dest_in   in std_logic_vector ( 31 downto 0 )
length_in   in std_logic_vector ( 10 downto 0 )

Detailed Description

Definition at line 26 of file ip_checksum_calc.vhd.


The documentation for this class was generated from the following files: