otsdaq_prepmodernization  v2_05_02_indev
decipherer Entity Reference

Libraries

IEEE 

Use Clauses

IEEE.std_logic_1164.all 
IEEE.std_logic_arith.all 
IEEE.std_logic_unsigned.all 

Ports

addrs   in STD_LOGIC_VECTOR ( 7 downto 0 )
clk   in STD_LOGIC
data   in STD_LOGIC_VECTOR ( 7 downto 0 )
dv   in STD_LOGIC
er   in STD_LOGIC
reset   in STD_LOGIC
arp_req_ip   out STD_LOGIC_VECTOR ( 31 downto 0 )
arp_req_mac   out STD_LOGIC_VECTOR ( 47 downto 0 )
arp_search_ip   out STD_LOGIC_VECTOR ( 31 downto 0 )
capture_source_addrs   out STD_LOGIC
crc_chk_en   out STD_LOGIC
crc_chk_err   out STD_LOGIC
crc_chk_init   out STD_LOGIC
dest_mac   out STD_LOGIC_VECTOR ( 47 downto 0 )
is_arp   out STD_LOGIC
is_idle   out STD_LOGIC
is_udp   out STD_LOGIC
src_mac   out STD_LOGIC_VECTOR ( 47 downto 0 )
udp_data_count   out STD_LOGIC_VECTOR ( 10 downto 0 )
udp_data_valid   out STD_LOGIC
udp_dest_ip_out   out STD_LOGIC_VECTOR ( 31 downto 0 )
udp_src_ip   out STD_LOGIC_VECTOR ( 31 downto 0 )
udp_src_port   out STD_LOGIC_VECTOR ( 15 downto 0 )
data_in   in STD_LOGIC_VECTOR ( 7 downto 0 )
self_addrs   in STD_LOGIC_VECTOR ( 31 downto 0 )
clken_out   out STD_LOGIC
crc_chk_rd   out STD_LOGIC
data_out   out STD_LOGIC_VECTOR ( 7 downto 0 )
four_bit_mode_out   out STD_LOGIC
icmp_checksum   out STD_LOGIC_VECTOR ( 15 downto 0 )
ip_data_count   out STD_LOGIC_VECTOR ( 10 downto 0 )
is_icmp_ping   out STD_LOGIC
is_ip   out STD_LOGIC
udp_dest_port_out   out STD_LOGIC_VECTOR ( 15 downto 0 )

Detailed Description

Definition at line 26 of file decipherer.vhd.


The documentation for this class was generated from the following files: