otsdaq_prepmodernization  v2_05_02_indev
dataout_mux Entity Reference

Libraries

IEEE 

Use Clauses

IEEE.std_logic_1164.all 
IEEE.std_logic_arith.all 
IEEE.std_logic_unsigned.all 

Ports

sel_udp   in std_logic
arp_tx_en   in STD_LOGIC
arp_tx_er   in STD_LOGIC
arp_data_out   in STD_LOGIC_VECTOR ( 7 downto 0 )
udp_tx_en   in STD_LOGIC
udp_tx_er   in STD_LOGIC
udp_data_out   in STD_LOGIC_VECTOR ( 7 downto 0 )
tx_en   out STD_LOGIC
tx_er   out STD_LOGIC
txd   out STD_LOGIC_VECTOR ( 7 downto 0 )

Detailed Description

Definition at line 8 of file dataout_mux.vhd.


The documentation for this class was generated from the following files: