otsdaq_prepmodernization  v2_05_02_indev
burst_throughput_test_blk Entity Reference

Libraries

IEEE 

Use Clauses

IEEE.STD_LOGIC_1164.all 
IEEE.STD_LOGIC_ARITH.all 
IEEE.STD_LOGIC_UNSIGNED.all 

Ports

write_clk   in STD_LOGIC
reset_n   in STD_LOGIC
data_out   out STD_LOGIC_VECTOR ( 63 downto 0 )
we_out   out STD_LOGIC

Detailed Description

Definition at line 30 of file burst_throughput_test_blk.vhd.


The documentation for this class was generated from the following file: