otsdaq_prepmodernization  v2_05_02_indev
RX_IN_LATCH Entity Reference

Libraries

IEEE 

Use Clauses

IEEE.STD_LOGIC_1164.all 
IEEE.STD_LOGIC_ARITH.all 
IEEE.STD_LOGIC_UNSIGNED.all 

Ports

clk   in STD_LOGIC
dv   in STD_LOGIC
er   in STD_LOGIC
d   in STD_LOGIC_VECTOR ( 7 downto 0 )
dvo   out STD_LOGIC
ero   out STD_LOGIC
do   out STD_LOGIC_VECTOR ( 7 downto 0 )

Detailed Description

Definition at line 30 of file RX_IN_LATCH.vhd.


The documentation for this class was generated from the following file: