otsdaq_prepmodernization  v2_05_02_indev
PsudoCounter Entity Reference

Libraries

IEEE 

Use Clauses

IEEE.STD_LOGIC_1164.all 
IEEE.STD_LOGIC_ARITH.all 
IEEE.STD_LOGIC_UNSIGNED.all 

Ports

clk   in STD_LOGIC
reset   in STD_LOGIC
SampleOne   out STD_LOGIC_VECTOR ( 7 downto 0 )
SampleTwo   out STD_LOGIC_VECTOR ( 7 downto 0 )
SampleThree   out std_logic_vector ( 7 downto 0 )
SampleFour   out std_logic_vector ( 7 downto 0 )
SampleFive   out std_logic_vector ( 7 downto 0 )
SampleSix   out std_logic_vector ( 7 downto 0 )
SampleSeven   out std_logic_vector ( 7 downto 0 )
SampleEight   out std_logic_vector ( 7 downto 0 )

Detailed Description

Definition at line 34 of file PsudoCounter.vhd.


The documentation for this class was generated from the following file: