otsdaq_prepmodernization  v2_05_02_indev
MUX16_2 Entity Reference

Libraries

IEEE 

Use Clauses

IEEE.STD_LOGIC_1164.all 
IEEE.STD_LOGIC_ARITH.all 
IEEE.STD_LOGIC_UNSIGNED.all 

Ports

in0   in STD_LOGIC_VECTOR ( 15 downto 0 )
in1   in STD_LOGIC_VECTOR ( 15 downto 0 )
sel   in STD_LOGIC
muxout   out STD_LOGIC_VECTOR ( 15 downto 0 )

Detailed Description

Definition at line 30 of file MUX16_2.vhd.


The documentation for this class was generated from the following file: