otsdaq_prepmodernization  v2_05_02_indev
MII_100_1000_handler Entity Reference

Libraries

IEEE 

Use Clauses

IEEE.std_logic_1164.all 
ieee.numeric_std.all 

Ports

clk   in std_logic
reset   in std_logic
rx_data   in std_logic_vector ( 7 downto 0 )
rx_dv   in std_logic
rx_er   in std_logic
rx_data_handled   out std_logic_vector ( 7 downto 0 )
rx_dv_handled   out std_logic
rx_er_handled   out std_logic
tx_data   in std_logic_vector ( 7 downto 0 )
tx_dv   in std_logic
tx_er   in std_logic
tx_data_handled   out std_logic_vector ( 7 downto 0 )
tx_dv_handled   out std_logic
tx_er_handled   out std_logic

Detailed Description

Definition at line 25 of file xmii_handler.vhd.


The documentation for this class was generated from the following file: