otsdaq_prepmodernization  v2_05_02_indev
Pulser.vhd
1 ----------------------------------------------------------------------------------
2 -- Company: Fermilab
3 -- Engineer: Collin Bradford
4 --
5 -- Create Date: 11:54:07 04/15/2016
6 -- Design Name:
7 -- Module Name: Pulser - Behavioral
8 -- Project Name:
9 -- Target Devices:
10 -- Tool versions:
11 -- Description:
12 --
13 -- Dependencies:
14 --
15 -- Revision:
16 -- Revision 0.01 - File Created
17 -- Additional Comments:
18 --
19 ----------------------------------------------------------------------------------
20 library IEEE;
21 use IEEE.STD_LOGIC_1164.ALL;
22 use IEEE.STD_LOGIC_ARITH.ALL;
23 use IEEE.STD_LOGIC_UNSIGNED.ALL;
24 
25 -- Uncomment the following library declaration if using
26 -- arithmetic functions with Signed or Unsigned values
27 --use IEEE.NUMERIC_STD.ALL;
28 
29 -- Uncomment the following library declaration if instantiating
30 -- any Xilinx primitives in this code.
31 --library UNISIM;
32 --use UNISIM.VComponents.all;
33 
34 entity Pulser is
35  Port ( clk : in STD_LOGIC;
36  rst : in STD_LOGIC;
37  pulse : out STD_LOGIC);
38 end Pulser;
39 
40 architecture Behavioral of Pulser is
41  signal temp : STD_LOGIC_VECTOR(7 downto 0);
42 begin
43  process(clk, rst) begin
44  if(rst = '0') then
45  if(rising_edge(clk)) then
46  temp <= temp + 1;
47  end if;
48  else
49  temp <= (others => '0');
50  end if;
51  end process;
52  pulse <= temp(7);
53 end Behavioral;
54