otsdaq_prepmodernization  v2_05_02_indev
INFO_FIFO_0_rng.vhd
1 --------------------------------------------------------------------------------
2 --
3 -- FIFO Generator Core Demo Testbench
4 --
5 --------------------------------------------------------------------------------
6 --
7 -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
8 --
9 -- This file contains confidential and proprietary information
10 -- of Xilinx, Inc. and is protected under U.S. and
11 -- international copyright and other intellectual property
12 -- laws.
13 --
14 -- DISCLAIMER
15 -- This disclaimer is not a license and does not grant any
16 -- rights to the materials distributed herewith. Except as
17 -- otherwise provided in a valid license issued to you by
18 -- Xilinx, and to the maximum extent permitted by applicable
19 -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
20 -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
21 -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
22 -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
23 -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
24 -- (2) Xilinx shall not be liable (whether in contract or tort,
25 -- including negligence, or under any other theory of
26 -- liability) for any loss or damage of any kind or nature
27 -- related to, arising under or in connection with these
28 -- materials, including for any direct, or any indirect,
29 -- special, incidental, or consequential loss or damage
30 -- (including loss of data, profits, goodwill, or any type of
31 -- loss or damage suffered as a result of any action brought
32 -- by a third party) even if such damage or loss was
33 -- reasonably foreseeable or Xilinx had been advised of the
34 -- possibility of the same.
35 --
36 -- CRITICAL APPLICATIONS
37 -- Xilinx products are not designed or intended to be fail-
38 -- safe, or for use in any application requiring fail-safe
39 -- performance, such as life-support or safety devices or
40 -- systems, Class III medical devices, nuclear facilities,
41 -- applications related to the deployment of airbags, or any
42 -- other applications that could lead to death, personal
43 -- injury, or severe property or environmental damage
44 -- (individually and collectively, "Critical
45 -- Applications"). Customer assumes the sole risk and
46 -- liability of any use of Xilinx products in Critical
47 -- Applications, subject only to applicable laws and
48 -- regulations governing limitations on product liability.
49 --
50 -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
51 -- PART OF THIS FILE AT ALL TIMES.
52 --------------------------------------------------------------------------------
53 --
54 -- Filename: INFO_FIFO_0_rng.vhd
55 --
56 -- Description:
57 -- Used for generation of pseudo random numbers
58 --
59 --------------------------------------------------------------------------------
60 -- Library Declarations
61 --------------------------------------------------------------------------------
62 LIBRARY ieee;
63 USE ieee.std_logic_1164.ALL;
64 USE ieee.std_logic_unsigned.all;
65 USE IEEE.std_logic_arith.all;
66 USE IEEE.std_logic_misc.all;
67 
68 ENTITY INFO_FIFO_0_rng IS
69  GENERIC (
70  WIDTH : integer := 8;
71  SEED : integer := 3);
72  PORT (
73  CLK : IN STD_LOGIC;
74  RESET : IN STD_LOGIC;
75  ENABLE : IN STD_LOGIC;
76  RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0));
77 END ENTITY;
78 
79 ARCHITECTURE rg_arch OF INFO_FIFO_0_rng IS
80 BEGIN
81 PROCESS (CLK,RESET)
82  VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width);
83  VARIABLE temp : STD_LOGIC := '0';
84 BEGIN
85  IF(RESET = '1') THEN
86  rand_temp := conv_std_logic_vector(SEED,width);
87  temp := '0';
88  ELSIF (CLK'event AND CLK = '1') THEN
89  IF (ENABLE = '1') THEN
90  temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5);
91  rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0);
92  rand_temp(0) := temp;
93  END IF;
94  END IF;
95 
96  RANDOM_NUM <= rand_temp;
97 
98 END PROCESS;
99 
100 END ARCHITECTURE;