otsdaq_prepmodernization  v2_05_02_indev
DATA_FIFO_0.vhd
1 --------------------------------------------------------------------------------
2 -- This file is owned and controlled by Xilinx and must be used --
3 -- solely for design, simulation, implementation and creation of --
4 -- design files limited to Xilinx devices or technologies. Use --
5 -- with non-Xilinx devices or technologies is expressly prohibited --
6 -- and immediately terminates your license. --
7 -- --
8 -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
9 -- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
10 -- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
11 -- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
12 -- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
13 -- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
14 -- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
15 -- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
16 -- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
17 -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
18 -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
19 -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
20 -- FOR A PARTICULAR PURPOSE. --
21 -- --
22 -- Xilinx products are not intended for use in life support --
23 -- appliances, devices, or systems. Use in such applications are --
24 -- expressly prohibited. --
25 -- --
26 -- (c) Copyright 1995-2007 Xilinx, Inc. --
27 -- All rights reserved. --
28 --------------------------------------------------------------------------------
29 -- You must compile the wrapper file DATA_FIFO_0.vhd when simulating
30 -- the core, DATA_FIFO_0. When compiling the wrapper file, be sure to
31 -- reference the XilinxCoreLib VHDL simulation library. For detailed
32 -- instructions, please refer to the "CORE Generator Help".
33 
34 -- The synthesis directives "translate_off/translate_on" specified
35 -- below are supported by Xilinx, Mentor Graphics and Synplicity
36 -- synthesis tools. Ensure they are correct for your synthesis tool(s).
37 
38 LIBRARY ieee;
39 USE ieee.std_logic_1164.ALL;
40 -- synthesis translate_off
41 Library XilinxCoreLib;
42 -- synthesis translate_on
43 ENTITY DATA_FIFO_0 IS
44  port (
45  clk: IN std_logic;
46  din: IN std_logic_VECTOR(63 downto 0);
47  rd_en: IN std_logic;
48  srst: IN std_logic;
49  wr_en: IN std_logic;
50  dout: OUT std_logic_VECTOR(63 downto 0);
51  empty: OUT std_logic;
52  full: OUT std_logic);
53 END DATA_FIFO_0;
54 
55 ARCHITECTURE DATA_FIFO_0_a OF DATA_FIFO_0 IS
56 -- synthesis translate_off
57 component wrapped_DATA_FIFO_0
58  port (
59  clk: IN std_logic;
60  din: IN std_logic_VECTOR(63 downto 0);
61  rd_en: IN std_logic;
62  srst: IN std_logic;
63  wr_en: IN std_logic;
64  dout: OUT std_logic_VECTOR(63 downto 0);
65  empty: OUT std_logic;
66  full: OUT std_logic);
67 end component;
68 
69 -- Configuration specification
70  for all : wrapped_DATA_FIFO_0 use entity XilinxCoreLib.fifo_generator_v4_3(behavioral)
71  generic map(
72  c_has_int_clk => 0,
73  c_rd_freq => 1,
74  c_wr_response_latency => 1,
75  c_has_srst => 1,
76  c_has_rd_data_count => 0,
77  c_din_width => 64,
78  c_has_wr_data_count => 0,
79  c_full_flags_rst_val => 0,
80  c_implementation_type => 0,
81  c_family => "virtex4",
82  c_use_embedded_reg => 0,
83  c_has_wr_rst => 0,
84  c_wr_freq => 1,
85  c_use_dout_rst => 0,
86  c_underflow_low => 0,
87  c_has_meminit_file => 0,
88  c_has_overflow => 0,
89  c_preload_latency => 1,
90  c_dout_width => 64,
91  c_msgon_val => 1,
92  c_rd_depth => 256,
93  c_default_value => "BlankString",
94  c_mif_file_name => "BlankString",
95  c_has_underflow => 0,
96  c_has_rd_rst => 0,
97  c_has_almost_full => 0,
98  c_has_rst => 0,
99  c_data_count_width => 8,
100  c_has_wr_ack => 0,
101  c_use_ecc => 0,
102  c_wr_ack_low => 0,
103  c_common_clock => 1,
104  c_rd_pntr_width => 8,
105  c_use_fwft_data_count => 0,
106  c_has_almost_empty => 0,
107  c_rd_data_count_width => 8,
108  c_enable_rlocs => 0,
109  c_wr_pntr_width => 8,
110  c_overflow_low => 0,
111  c_prog_empty_type => 0,
112  c_optimization_mode => 0,
113  c_wr_data_count_width => 8,
114  c_preload_regs => 0,
115  c_dout_rst_val => "0",
116  c_has_data_count => 0,
117  c_prog_full_thresh_negate_val => 253,
118  c_wr_depth => 256,
119  c_prog_empty_thresh_negate_val => 3,
120  c_prog_empty_thresh_assert_val => 2,
121  c_has_valid => 0,
122  c_init_wr_pntr_val => 0,
123  c_prog_full_thresh_assert_val => 254,
124  c_use_fifo16_flags => 0,
125  c_has_backup => 0,
126  c_valid_low => 0,
127  c_prim_fifo_type => "512x72",
128  c_count_type => 0,
129  c_prog_full_type => 0,
130  c_memory_type => 1);
131 -- synthesis translate_on
132 BEGIN
133 -- synthesis translate_off
134 U0 : wrapped_DATA_FIFO_0
135  port map (
136  clk => clk,
137  din => din,
138  rd_en => rd_en,
139  srst => srst,
140  wr_en => wr_en,
141  dout => dout,
142  empty => empty,
143  full => full);
144 -- synthesis translate_on
145 
146 END DATA_FIFO_0_a;
147