otsdaq_prepmodernization  v2_05_02_indev
params_package.vhd
1 -------------------------------------------------------------------------------
2 --
3 -- Title : params_package
4 -- Author : rrivera at fnal dot gov
5 --
6 -------------------------------------------------------------------------------
7 --
8 -- File : params_package.vhd
9 -- Generated : Mon Jun 9 15:12:08 2008
10 --
11 -------------------------------------------------------------------------------
12 --
13 -- Description : package of parameters for ethernet controller
14 --
15 -------------------------------------------------------------------------------
16 
17 
18 library IEEE;
19 use ieee.std_logic_1164.ALL;
20 use ieee.numeric_std.ALL;
21 
22 package params_package is
23 
24 
25  constant ETH_CONTROLLER_VERSION: std_logic_vector(15 downto 0) := x"0030"; -- use all numbers, e.g. "0010"
26  constant ETH_INTERFACE_VERSION: std_logic_vector(15 downto 0) := x"AACA"; -- use all letters, e.g. "AABF"
27  constant delay_term: natural := 0; --x"000A" --x"07D0";
28 
29  -- DO NOT TOUCH IP ADDRESS LINE BELOW.. Managed by setup and install script!
30  constant ETH_CONTROLLER_DEFAULT_ADDR: std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(2,8)); -- must be 1 to 254 inclusive
31  constant ETH_CONTROLLER_DEFAULT_PORT: std_logic_vector(15 downto 0) := std_logic_vector(to_unsigned(2007,16)); -- must be 0 to 65535 inclusive
32  -- DO NOT TOUCH IP ADDRESS LINE ABOVE.. Managed by setup and install script!
33 
34 end params_package;
35 
36 package body params_package is
37 
38 -- Functions and procedures
39 end params_package;