otsdaq_prepmodernization  v2_05_02_indev
vcs_session.tcl
1 #--------------------------------------------------------------------------------
2 #--
3 #-- FIFO Generator Core Demo Testbench
4 #--
5 #--------------------------------------------------------------------------------
6 # (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
7 #
8 # This file contains confidential and proprietary information
9 # of Xilinx, Inc. and is protected under U.S. and
10 # international copyright and other intellectual property
11 # laws.
12 #
13 # DISCLAIMER
14 # This disclaimer is not a license and does not grant any
15 # rights to the materials distributed herewith. Except as
16 # otherwise provided in a valid license issued to you by
17 # Xilinx, and to the maximum extent permitted by applicable
18 # law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
19 # WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
20 # AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
21 # BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
22 # INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
23 # (2) Xilinx shall not be liable (whether in contract or tort,
24 # including negligence, or under any other theory of
25 # liability) for any loss or damage of any kind or nature
26 # related to, arising under or in connection with these
27 # materials, including for any direct, or any indirect,
28 # special, incidental, or consequential loss or damage
29 # (including loss of data, profits, goodwill, or any type of
30 # loss or damage suffered as a result of any action brought
31 # by a third party) even if such damage or loss was
32 # reasonably foreseeable or Xilinx had been advised of the
33 # possibility of the same.
34 #
35 # CRITICAL APPLICATIONS
36 # Xilinx products are not designed or intended to be fail-
37 # safe, or for use in any application requiring fail-safe
38 # performance, such as life-support or safety devices or
39 # systems, Class III medical devices, nuclear facilities,
40 # applications related to the deployment of airbags, or any
41 # other applications that could lead to death, personal
42 # injury, or severe property or environmental damage
43 # (individually and collectively, "Critical
44 # Applications"). Customer assumes the sole risk and
45 # liability of any use of Xilinx products in Critical
46 # Applications, subject only to applicable laws and
47 # regulations governing limitations on product liability.
48 #
49 # THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
50 # PART OF THIS FILE AT ALL TIMES.
51 # Filename: vcs_session.tcl
52 #
53 # Description:
54 # This is the VCS wave form file.
55 #
56 #--------------------------------------------------------------------------------
57 if { ![gui_is_db_opened -db {fifo_adc.vpd}]} {
58  gui_open_db -design V1 -file fifo_adc.vpd -nosource
59 }
60 gui_set_precision 1ps
61 gui_set_time_units 1ps
62 
63 
64 gui_open_window Wave
65 gui_sg_create fifo_adc_Group
66 gui_list_add_group -id Wave.1 {fifo_adc_Group}
67 
68 gui_sg_addsignal -group fifo_adc_Group /fifo_adc_tb/fifo_adc_synth_inst/fifo_adc_inst/RST
69 gui_sg_addsignal -group fifo_adc_Group WRITE -divider
70 gui_sg_addsignal -group fifo_adc_Group /fifo_adc_tb/fifo_adc_synth_inst/fifo_adc_inst/WR_CLK
71 gui_sg_addsignal -group fifo_adc_Group /fifo_adc_tb/fifo_adc_synth_inst/fifo_adc_inst/WR_EN
72 gui_sg_addsignal -group fifo_adc_Group /fifo_adc_tb/fifo_adc_synth_inst/fifo_adc_inst/FULL
73 gui_sg_addsignal -group fifo_adc_Group READ -divider
74 gui_sg_addsignal -group fifo_adc_Group /fifo_adc_tb/fifo_adc_synth_inst/fifo_adc_inst/RD_CLK
75 gui_sg_addsignal -group fifo_adc_Group /fifo_adc_tb/fifo_adc_synth_inst/fifo_adc_inst/RD_EN
76 gui_sg_addsignal -group fifo_adc_Group /fifo_adc_tb/fifo_adc_synth_inst/fifo_adc_inst/EMPTY
77 gui_zoom -window Wave.1 -full